• Сайт о плате Марсоход, Самый простой Developer Kit для изучения ПЛИС, среды Altera Quartus II, языка описания аппаратуры Verilog HDL...
  • Спасибо - https://vk.com/marsohod4you Источник: https://marsohod.org/418-quartus-download. К сожалению, в сложившейся ситуации доступ россиян к загрузке...
  • Полезные ссылки на разные любопытные ресурсы: Проект www.marsohod.org: http://www.marsohod.org/index.php/projects/20-prj-marsohod http...
  • Я пришел к выводу, что мимо меня проходит целая индустрия и надо бы подтянуть основы. Вот если у меня есть пара-тройка транзисторов, то что-то типовое, что...
  • См. ресурс Марсоход: http://marsohod.org/. Курс "Цифровая схемотехника". Лит-ра: А. А. Бессонов «Теоретические основы электротехники» Ю. Г...
  • не будет откровением, если напишу аксиому перед тем, как изобретать велосипед, погугли - наверняка его уже изобрели :) в продолжение темы о сериализаторе...
  • seasindream - новый блог в Живом Журнале. Скоро здесь появится много интересных записей.
  • Описание как сделать это устройство здесь: http://www.marsohod.org/index.php/projects/48-printeggs.
  • Просмотр поста в дневнике — Поп-математика для взрослых детей...
  • (Link). Ну например украинские борцы за свободу и демократию могут использовать FPGA, чтобы сделать сонар против русских подводных лодок.